Jumat, 31 Desember 2010

National Building


Tiiinnn…tiinnn..tiiinn wooyyy orang miskin lagi cari duit tiiinn… Begitulah suasana jalan ketika melewati sebuah pasar, panas, macet, angkot ngetem sana sini..yaah gimana lagi itulah negara kita, tidak tertib dan semerawut. Sebenarnya apa yang terjadi dengan Negara kita ?? apakah karena banyaknya penduduk di Indonesia ?? lihat China, jumlah penduduknya lebih banyak dibandingkan Indonesia, tapi kenapa China bias lebih tertib dibandingkan dengan Indonesia?? Mungkin Karena kesadaran rakyat Indonesia kurang, kenapa bias seperti itu ?? dulu presiden pertama kita Soekarno lebih banyak berkiblat ke RRC mungkin karena sama-sama memiliki jumlah penduduk yang banyak. Dahulu china menerapkan sistem national building yang diartikan dari rakyat untuk rakyat. Konsep itu juga yang ingin dipakai oleh bung Karno, maka lahirlah yang namanya Pancasila. Bung Karno mengemban misi yang sangat berat untuk membangun Indonesia dengan konsep seperti itu, tapi di saat bung Karno sedang membangun konsep tersebut, beliau di ganggu oleh isu-isu politik, orang-orang yang ingin menjatuhkan beliau, sehinga runtuhlah rezim Soekarno dimana misi beliau belum selesai, sementara pemerintahan orde barunya Soeharto sangat bersebrangan dengan misi Soekarno, misi Soeharto adalah membangun Indonesia dengan konsep moderanisasi, tetapi rakyat kita belum siap dengan konsep tersebut sehingga manjadi timpang dengan misi dari Soeharto, berbeda dengan China, di saat pemerintahannya dig anti, rakyat China sudah siap mental dengan konsep baru tersebut, kesadaran rakyatnya pun semakin baik.
 Itulah yang ingin dibangun oleh Soekarno menjadikan rakyat Indonesia siap mental untuk menghadapi masa depan sehingga Negara kita lebih baik dari sekarang.

Kamis, 30 Desember 2010

TIMNAS INDONESIA


INDONESIA prok..prok..prok…, tak ada henti-hentinya para supporter timnas kita meneriakan yel-yel demi mendukung timnas kita untuk juara piala AFF untuk yang pertama kalinya dimana Indonesia sudah mencapai babak final dan tinggal selangkah lagi bias merebut gelar juara..
Begitulah harapan rakyat Indonesia, awalnya saya tak begitu yakin timnas dapat menampilkan permainan yang menurut saya aktraktif, tetapi pada waktu saya menonton partai pertama melawan Malaysia keyakinan itu muncul, bagaimana tidak timnas kita mencukur habis Malaysia dengan skor 5-1 !! sungguh awal yang sangat mengesankan, semenjak itu saya tidak pernah absen menyaksikan setiap laga Indonesia hingga akhirnya kita melaju ke babak semi final dimana kita sudah di tunggu oleh Filipina yang notabennya dihuni pemain-pemain naturalisasi mulai dari Younghusband bersaudara hingga Etheridge(kiper ketiga klub Inggris : Fulham). Keraguan pun mulai menghampiri, tapi pasukan Indonesia yang di kapteni oleh Firman Utina tak gentar sedikitpun menghadapi perlawanan sengit Filipina. Indonesia pun akhirnya menang dengan agregat 2-0. Saya pun makin yakin bahwa timnas kita mampu menjadi juara sebab pada partai final kita menghadapi Malaysia yang pada pertemuan pertama kita libas dengan skor 5-1. Tapi apa daya, timnas kita kalah pada leg pertama dengan skor 3-0, walaupun pada leg kedua kita menang 2-1 tetapi itu belum cukup untuk menjadikan Indonesia Juara, ya itulah sepakbola dimana taktik, strategi dan keberuntungan selalu menjadi faktor-faktor tertentu.
Yaa tidak apa-apalah toh supporter kita tidak ada yang bertingkah anarkis. Ini adalah bukti bahwa supporter Indonesia sudah bersikap dewasa, sehingga Indonesia siap untuk menjadi juara 2 tahun mendatang di turnamen yang sama. Bravo INDONESIA…

Jumat, 24 Desember 2010

7'segment with VHDL




Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 buah LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f dan h (titik.
Jenis-jenis 7'segment dibagi menjadi 2 yaitu:


1. Common Anoda

Semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi aktif low(led akan menyala bila diberi logika 0).

2. Common Katoda

semua katoda disatukan secara paralel dan dihubungkan ke GND. Karena seluruh katoda dihubungkan ke GND, maka common katoda ini berada pada kondisi aktif high(led akan menyala bila diberi logika 1).

Contoh Program 7'segment pada FPGA:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity milanisti is
port (
clk : in std_logic;
bcd : in std_logic_vector(3 downto 0);
segment7 : out std_logic_vector(6 downto 0)
);
end milanisti;

architecture Behavioral of milanisti is

begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case bcd is
when "0000"=> segment7 <="0000001"; -- '0' when "0001"=> segment7 <="1001111"; -- '1' when "0010"=> segment7 <="0010010"; -- '2' when "0011"=> segment7 <="0000110"; -- '3' when "0100"=> segment7 <="1001100"; -- '4' when "0101"=> segment7 <="0100100"; -- '5' when "0110"=> segment7 <="0100000"; -- '6' when "0111"=> segment7 <="0001111"; -- '7' when "1000"=> segment7 <="0000000"; -- '8' when "1001"=> segment7 <="0000100"; -- '9' when others=> segment7 <="1111111"; -- 'mati semua karena aktif low'
end case;
end if;

end process;

end Behavioral;


logika program :output program adalah 7'segment, input di berikan melalui switch, contoh jika "0001" ditekan maka outputnya "1001111", 1001111 diibaratkan 7'segment yaitu a b c d e f g, karena ini aktif low maka yang menyala hanya segment b dan c saja yaitu angka 1.

Kamis, 25 November 2010

Pelesir….

“Uda sore gini tapi dosen belom dateng ,,,ahkhh..” si Joni pun akhirnya mengajak teman-temannya untuk pelesir ke mal deket kampus, “Ayoo jalan akh males gw ma dosen kya gini giliran gw niat kulia aja dosen gga masuk”, “iya jon rese banget ni dosen, cabut yuk” tambah si cumi yang juga mengajak teman-temannya yang lain. Akhirnya mereka berlima pun pergi ke mal terdekat.
Sesampai mereka di mal tersebut Joni langsung ngoceh ngeliat cewe-cewe cakep berkeliaran di mal, “eh sul liat tuh cewe bodynya cocok tuh buat gw..” , “ah lo mah ngomong doang” tambah sul..
Kemudian babe pun bilang “nah itu jon baru cocok buat lo…” , ,”mana be ?? sahut demul.., adul pun menoleh dan berkata “sialan lo !! masa badan gw uda gede gw cari yg gede juga” , waah ternyata badan tuh cewe bulet banget persis angka nol..
Akhirnya mereka mampir ke toko pakaian, joni pun langsung mencoba beberapa potong pakaian,,, “ waah gw suka nih baju di sini, ukurannya gede-gede pas banget buat gw (dia ngomong sendiri gga ada yang nyautin). Tanpa banyak milih karena kalo beli juga gga ada duit, akhirnya aki babe cumi sul dan demul pun pergi meninggalkan Joni yang lagi “nyobain baju” dan menunggu di luar tempat itu. Tak lama kemudian Joni muncul dengan senyum sumingrah dan bilang “suka gw baju-baju di sini, ukurannya gede-gede”, teman-teman yang lain mendengarkan ocehan si joni, kemudian si sul meraba-raba tas joni “mana Jon baju lo ??” , Joni pun hanya senyum-senyum gga jelas..
Setelah mampir ke toko pakaian mereka pun pergi menonton sebuah film di bayarin sama si Joni. Di dalam studio Joni (yang duduk menyendiri terlihat sibuk dengan dompetnya dan membuka-buka dompetnya), kemudian cumi dan aki berbisik “ngapain tuh si Joni ??”, “tau dia kan suka gga jelas hahha” , Joni pun masih betingkah yang gga jelas sampai film di mulai.
Selesai menonton film mereka berniat pulang, tetapi karena di luar cuaca sedang hujan maka mereka semua menunggu di tempat parker motor, sambil ngobrol-ngobrol kemudian babe bertanya,”mana Jon baju lo?? gw pengen liat…” , Joni pun terdiam sejenak dan berkata “kaga be gw beli dompet”, HAH ?? gimana ceritanya?? Dari tadi lo teriak-teriak baju tau-tau yang lo beli dompet ?? teriak demul, hahahhahah LOL, si Joni langsung betingkah gga jelas alias kikuk, “HAHAAHA” pada ketawa semua sampe ujan uda reda dan mereka semua pulang sambil menahan sakit di perut gara-gara si Joni…
Dan itulah rutinitas mereka sehari-hari..

Minggu, 14 November 2010

Perbandingan kata-kata media masa


Artikel dari POSKOTA :

JAKARTA (Pos kota)- Lelaki tua tewas usai kencan dengan wanita jablay di Hotel Harapan Jaya, Senen, Jakarta Pusat, Sabtu malam pukul 22.50. Diduga, Abdul Halim, 62, tewas akibat mengkonsumsi obat kuat agar bisa kencan untuk kedua kalinya dengan Eky, 30.

Menurut Kanis Reskrim Polsek Senen, AKP Asep Suparman, Sabtu (13/11) sekitar pukul 17.00, Abdul chek ini atau masuk hotel dengan wanita yang dikenal di Atrium Senen tersebut. Tak lama kemudian, setelah kencan, pukul 21.00, lelaki asal Tanjung Balai Karimun, Riau itu keluar bersama wanita jablay tersebut.

“Diduga mereka membeli obat kuat agar bisa kencan lagi,”ujar Asep Suparman. Sebab tak lama kemudian mereka berdua kembali ke kamar hotel. Sekitar pukul 22.00, tiba-tiba Abdul kejang-kejang. Melihat itu Eky panik dan berteriak minta tolong.

Namun sebelum sempat ditolong, korban sudah meninggal dunia. Kejadian itu lalu dilaporkan ke Polsek Senen dan jenazah korban dibawa ke RSCM. Sedangkan Eky, wanita asal Pandeglang, Banten ini dimintai keterangan.

Polisi juga menyita barang bukti berupa 17 lembar dolar Amerika Serika pecahan 100 dolar yang diduga palsu. kasus ini masih dalam pengusutan polisi. (silaen/B)


Bahasa yang sekiranya benar :

JAKARTA (Pos kota)- Lelaki tua tewas usai kencan dengan wanita tuna susila (WTS) di Hotel Harapan Jaya, Senen, Jakarta Pusat, Sabtu malam pukul 22.50. Diduga, Abdul Halim(62) tewas akibat mengkonsumsi obat kuat agar bisa kencan untuk kedua kalinya dengan Eky (30)
Menurut Kanis Reskrim Polsek Senen, AKP Asep Suparman, Sabtu (13/11) sekitar pukul 17.00, Abdul masuk ke hotel dengan wanita yang dikenal di Atrium Senen tersebut. Tak lama kemudian, setelah kencan pukul 21.00 lelaki asal Tanjung Balai Karimun, Riau itu keluar bersama wanita tuna susila tersebut.
“Diduga mereka membeli obat kuat agar bisa kencan lagi,”ujar Asep Suparman. Sebab tak lama kemudian mereka berdua kembali ke kamar hotel. Sekitar pukul 22.00, tiba-tiba Abdul kejang-kejang. Melihat itu Eky panik dan berteriak minta tolong.
Namun sebelum sempat ditolong, korban sudah meninggal dunia. Kejadian itu lalu dilaporkan ke Polsek Senen dan jenazah korban dibawa ke RSCM. Sedangkan Eky, wanita asal Pandeglang, Banten ini dimintai keterangan.
Polisi juga menyita barang bukti berupa 17 lembar dollar Amerika Serika pecahan 100 dollar yang diduga palsu. kasus ini masih dalam pengusutan polisi. (silaen/B)


Artikel dari KOMPAS :

JAKARTA, KOMPAS.com - Belum ada yang memastikan apakah sosok pria berjaket hitam yang direkam fotografer Kompas Agus Susanto sedang menonton pertandingan tenis di Bali adalah Gayus Tambunan. Namun, pengamat multimedia Roy Suryo yakin pria tersebut benar Gayus. Tidak tanggung-tanggung Roy berani memastikannya 100 persen.

Hal tersebut dinyatakan Roy dalam pesan singkatnya Minggu (14/11/2010) setelah membandingkan foto yang direkam Agus Susanto dengan foto Gayus Tambunan. Roy menyatakan, pembuktian tersebut dilakukan dengan metode slice comparison. Ia mengklaim metode tersebut ilmiah dan melihat unsur-unsur grafis sebagai dasar perbandingan foto.

Sebelumnya, ahli digital forensik Ruby Alamsyah mengatakan bahwa untuk memastikan foto tersebut Gayus atau bukan sangat mudah. Dengan software khusus yang membandingkan foto tersebut dengan foto Gayus sebenarnya akan diketahui tingkat kemiripannya. Tinggal apakah aparat hukum di Indonesia mempercayai bahwa foto tersebut Gayus atau bukan jika tingkat kemiripannya di atas 50 persen atau harus di atas 90 persen.

Ruby sendiri enggan berkomentar apakah foto yang diambil Agus Susanto benar-benar Gayus. Namun, dari pengamatan awal saja ia memperkirakan tingkat kemiripannya dengan Gayus bisa jadi lebih dari 90 persen. Nah, apakah foto tersebut benar-benar Gayus atau bukan? Menurut Ruby, hal tersebut kini telah masuk ranah hukum dan menjadi wewenang aparat hukum untuk membuktikan.

Senin, 25 Oktober 2010

Contoh Program D Flip-Flop Pada VHDL

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

Library IEE, STD, dan Work

1. Library IEE:

* use IEEE.std_logic_unsigned.all;
* use IEEE.math_real.all;
* use IEEE.math_complex.all;
* use IEEE.numeric_bit.all;
* use IEEE.numeric_std.all;
* use IEEE.std_logic_signed.all;
* use IEEE.std_logic_1164.all;
* use IEEE.std_logic_textio.all;
* use IEEE.std_logic_arith.all;

2. Library STD:

* use STD.standard.all;
* use STD.textio.all;

3. Library Work:
adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh:

* use WORK.data_types.all;

Minggu, 10 Oktober 2010

Kata baku dan tidak baku

Aksi action
Aki accu
Aktifitas aktivitas
Apotek apotik
Anda anda
Analisis Analisa
Andal Handal
Asas Azas
Aktif Aktip
Atmosfer atmosfir
Atlet Atlit
Azan Adzan
Antre Antri
Bus Bis
Cantik Cakep
Capai capek
Cabai cabe
Cenderamata cinderamata
Cendekiawan Cendikiawan
Defenisi Difinisi
Dalam Dalem
Debit debet
Diagnosis diagnosa
Durian Duren
Detail Detil
Embus Hembus
Ekuivalen ekwivalen
Ekstrem Ekstrim
Ekstremis Ekstrimis
Februari Pebruari
Fondasi Pondasi
Frekuensi frekwensi
Genius Jenius
Genting Genteng
Habis Abis
Hakikat Hakekat
Hieraki Hiraki
Hipotesis hipotesa
Ikhlas Ihlas
Ijazah Ijasah
Influenza Influenza
Izin Ijin
Isap Hisap
Imbau Himbau
Jadwal jadual
Jenazah Jenasah
Kaidah kaedah
Karier Karir
Kategori Katagori
Konduite kondite
Konkret kongkrit
Konseptual konsepsionil
Konferensi Konperensi
Kualifikasi Kwalifikasi
Kuantitatif Kwantitatif
Kuintansi Kwintansi
Kualitas Kwalitas
Masjid Mesjid
Mengubah Merubah
Merek Merk
Meterai Meterei
Misi Missi
Miliar Milyar
Mulia Mulya
Museum Museum
Mungkir Pungkir
Metode Metoda
Narasumber Nara sumber
Nasihat Nasehat
Objektif Obyektif
Objek Obyek
Paham Faham
Praktik Praktek
Pikir Fikir
Peduli Perduli
Provinsi Propinsi
Rezeki Rejeki
Risiko Resiko
Sabtu Saptu
Sekadar Sekedar
Silakan Silahkan
Sistem Sistim
Saksama Seksama
Sportif Sportip
Saraf syaraf
Subjek Subyek
Subjektif Subyektif
Tampan Ganteng
Teknik Tehnik
Teknologi Tehnologi
Trotoir Trotoar
Terampil Trampil
Telanjur Terlanjur
Telantar Terlantar
Uang Duit
Ubah Rubah
Utang Hutang
Varietas Varitas
Zaman Jaman

Jumat, 17 September 2010

11 hal-hal yang bagus di pikirkan sebelum kita mengeluh.. Share

11 hal-hal yang bagus di pikirkan sebelum kita mengeluh.. Share
1. Hari ini sebelum kamu mengatakan kata-kata yang tidak baik, pikirkan tentang seseorang yang tidak
dapat berbicara sama sekali.

2. Sebelum kamu mengeluh tentang rasa dari makananmu, pikirkan tentang seseorang yang tidak
punya apapun untuk dimakan.

3. Sebelum anda mengeluh tidak punya apa-apa, pikirkan tentang seseorang yang meminta-minta di
jalanan.

4. Sebelum kamu mengeluh bahwa kamu buruk, pikirkan tentang seseorang yang berada pada
tingkat yang terburuk di dalam hidupnya.

5. Sebelum kamu mengeluh tentang suami atau istrimu, pikirkan tentang seseorang yang memohon
kepada Allah untuk diberikan teman hidup.

6. Hari ini sebelum kamu mengeluh tentang hidupmu, pikirkan tentang seseorang yang meninggal terlalu
cepat.

7. Sebelum kamu mengeluh tentang anak-anakmu, pikirkan tentang seseorang yang sangat ingin
mempunyai anak tetapi dirinya mandul.

8. Sebelum kamu mengeluh tentang rumahmu yang kotor karena pembantumu tidak mengerjakan
tugasnya, pikirkan tentang orang-orang yang tinggal dijalanan.

9. Sebelum kamu mengeluh tentang jauhnya kamu telah menyetir, pikirkan tentang seseorang yang
menempuh jarak yang sama dengan berjalan.

10. Dan disaat kamu lelah dan mengeluh tentang pekerjaanmu, pikirkan tentang pengangguran,
orang-orang cacat yang berharap mereka mempunyai pekerjaan seperti anda.

11. Sebelum kamu menunjukkan jari dan menyalahkan orang lain, ingatlah bahwa tidak ada seorangpun yang tidak berdosa.

(sumber: www.kaskus.us)

Intinya, bersyukurlah dengan semua yang kita miliki sekarang.. :DD
memang tidak ada hidup yang sempurna, tetapi kesempurnaan itu akan terasa ketika kita selalu bersyukur kepada Allah dan berusaha menjalani hidup sebaik mungkin.. :D

Selasa, 27 Juli 2010

Yahudi… Pintar atau Penakut ??




Marilah kita mulai dengan persiapan awal melahirkan. Di Israel, setelah mengetahui sang ibu sedang mengandung, sang ibu akan sering menyanyi dan bermain piano. Si ibu dan bapak akan membeli buku matematika dan menyelesaikan soal bersama suami.

Stephen sungguh heran karena temannya yang mengandung sering membawa buku matematika dan bertanya beberapa soal yang tak dapat diselesaikan. Kebetulan Stephen suka matematika..

Stephen bertanya, "Apakah ini untuk anak kamu?"

Dia menjawab, "Iya, ini untuk anak saya yang masih di kandungan, saya sedang melatih otaknya, semoga ia menjadi jenius."

Hal ini membuat Stephen tertarik untuk mengikut terus perkembangannya.

Kembali ke matematika tadi, tanpa merasa jenuh si calon ibu mengerjakan latihan matematika sampai genap melahirkan.

Hal lain yang Stephen perhatikan adalah cara makan. Sejak awal mengandung dia suka sekali memakan kacang badam dan korma bersama susu. Tengah hari makanan utamanya roti dan ikan tanpa kepala bersama salad yang dicampur dengan badam dan berbagai jenis kacang-kacangan.

Menurut wanita Yahudi itu, daging ikan sungguh baik untuk perkembangan otak dan kepala ikan mengandungi kimia yang tidak baik yang dapat merusak perkembangan dan penumbuhan otak anak didalam kandungan. Ini adalah adat orang orang Yahudi ketika mengandung. menjadi semacam kewajiban untuk ibu yang sedang mengandung mengonsumsi pil minyak ikan.

Ketika diundang untuk makan malam bersama orang orang Yahudi. Begitu Stephen menceritakan, "Perhatian utama saya adalah menu mereka. Pada setiap undangan yang sama saya perhatikan, mereka gemar sekali memakan ikan (hanya isi atau fillet)," ungkapnya.

Biasanya kalau sudah ada ikan, tidak ada daging. Ikan dan daging tidak ada bersama di satu meja. Menurut keluarga Yahudi, campuran daging dan ikan tak bagus dimakan bersama. Salad dan kacang, harus, terutama kacang badam.

Uniknya, mereka akan makan buah buahan dahulu sebelum hidangan utama. Jangan terperanjat jika Anda diundang ke rumah Yahudi Anda akan dihidangkan buah buahan dahulu. Menurut mereka, dengan memakan hidangan kabohidrat (nasi atau roti) dahulu kemudian buah buahan, ini akan menyebabkan kita merasa ngantuk. Akibatnya lemah dan payah untuk memahami pelajaran di sekolah.

Di Israel, merokok adalah tabu, apabila Anda diundang makan dirumah Yahudi, jangan sekali kali merokok. Tanpa sungkan mereka akan menyuruh Anda keluar dari rumah mereka. Menyuruh Anda merokok di luar rumah mereka. (bayangkan di Indonesia, merokok bukanlah hal yang tabu bagi kita, di setiap sudut jalan, cafĂ© ataupun tempat-tempat lainnya asap rokok sudah tidak membuat kita terperanjat lagi karena hal tersebut adalah hal yang biasa buat kita ckckck…)

Menurut ilmuwan di Universitas Israel, penelitian menunjukkan nikotin dapat merusakkan sel utama pada otak manusia dan akan melekat pada gen. Artinya, keturunan perokok bakal membawa generasi yang cacat otak ( bodoh). Suatu penemuan yang dari saintis gen dan DNA Israel.

Perhatian Stephen selanjutnya adalah mengunjungi anak-anak Yahudi. Mereka sangat memperhatikan makanan, makanan awal adalah buah buahan bersama kacang badam, diikuti dengan menelan pil minyak ikan (code oil lever).

Dalam pengamatan Stephen, anak-anak Yahudi sungguh cerdas. Rata rata mereka memahami tiga bahasa, Hebrew, Arab dan Inggris. Sejak kecil mereka telah dilatih bermain piano dan biola. Ini adalah suatu kewajiban. Menurut mereka bermain musik dan memahami not dapat meningkatkan IQ. Sudah tentu bakal menjadikan anak pintar.

Ini menurut saintis Yahudi, hentakan musik dapat merangsang otak.

Tak heran banyak pakar musik dari kaum Yahudi.

Seterusnya di kelas 1 hingga 6, anak anak Yahudi akan diajar matematika berbasis perniagaan. Pelajaran IPA sangat diutamakan. Di dalam pengamatan Stephen, "Perbandingan dengan anak anak di California, dalam tingkat IQ-nya bisa saya katakan 6 tahun kebelakang!! !" katanya. (di California yang merupakan bagian dari Amerika aja tingkat IQ-nya 6 tahun ke belakang dari orang-orang yahudi, apalagi di Indonesia ??? hhhee tapi mudah-mudahan aja di Indonesia banyak orang-orang jenius.. : p )

Yahudi bangsa penakut

Tapi kenyataannya di balik kepintaran mereka ternyata yahudi hanyalah bangsa yang sebenarnya penakut. Penyerangan terhadap relawan di kapal Mavi Marmara adalah bukti kepengecutan yahudi. Relawan yang tak bersenjata di serang dengan persenjataan lengkap. Ketakutan yang berlebihan akan adanya senjata yang diselundupkan di kapal tersebut memperkuat bukti paranoidnya. Menurut statemen mereka sendiri bahwa mereka melarang selempeng besipun masuk di Gaza termasuk semen dan benda yang dianggap berbahaya, mereka takut para pejuang Hamas menjadikannya senjata. Padahal mereka dengan bebasnya membuat senjata nuklir, dan sebagaimana kita ketahui bahwa Israel adalah salah satu negara yang menolak menandatangani kesepakatan pembatasan nuklir di dunia!

Tidak heran bangsa yahudi seperti itu karena memang sejak dahulu bangsa yahudi memang penakut. Mereka pernah menolak janji Allah yang memastikan kemenangan jika mau berperang bersama Nabi Musa, membuktikan sebenarnya yahudi adalah bangsa penakut, pesimis, tamak terhadap dunia, dan lebih memilih hidup hina daripada mati mulia. Perhatikan dialog mereka dengan Nabi Musa ‘alaihi salam berikut ini yang termaktub dalam al-Qur’an :

“Wahai kaumku, masuklah ke tanah suci (Palestina) yang telah ditentukan Allah bagimu, dan janganlah kamu lari ke belakang (karena takut kepada musuh), maka kamu menjadi orang-orang yang merugi.
Mereka berkata: “Wahai Musa, sesungguhnya dalam negeri itu ada orang-orang yang gagah perkasa, sesungguhnya kami sekali-kali tidak akan memasukinya sebelum mereka ke luar daripadanya. Jika mereka ke luar daripadanya, pasti kami akan memasukinya.”
Berkatalah dua orang (Musa dan Harun) di antara orang-orang yang takut (kepada Allah) yang Allah telah memberi nikmat atas keduanya: “Serbulah mereka dengan melalui pintu gerbang (kota) itu, maka bila kamu memasukinya niscaya kamu akan menang. Dan hanya kepada Allah hendaknya kamu bertawakkal, jika kamu benar-benar orang yang beriman”. (QS. al-Mai’dah : 21-24)

Janji penyemangat dari Nabi Musa mereka tanggapi dingin, bahkan dengan sombongnya menyuruh Musa dan Tuhannya saja yang berperang.
“Mereka berkata: “Wahai Musa, kami sekali-sekali tidak akan memasukinya selama-lamanya, selagi mereka ada di dalamnya, karena itu pergilah kamu bersama Tuhanmu, dan berperanglah kamu berdua, sesungguhnya kami hanya duduk menanti di sini saja”. (QS. al-Mai’dah : 25)
Karena pembangkangannya kaum yahudi diharamkan untuk masuk di tanah suci tersebut.
Kemudian pada serangan Israel ke Palestina pada 2008 kebanyakan korban dari serangan tersebut adalah anak-anak..mengapa ??
Karena sebagian dari anak-anak tersebut hafal Al-quran, karena itu mereka ketakutan, mereka berfikir kalau sekarang mereka hafal Al-quran apa jadinya 20 tahun kemudian ??

Yahudi bangsa yang penakut, mereka lebih memilih hidup hina daripada mati mulia, sejak dahulu al-Qur’an telah membuka borok-borok yahudi dan sekarang kitapun masih melihat bahwa apa yang ada dalam al-Qur’an khususnya berkaitan dengan mereka adalah, dari dulu dan sekarang ternyata mereka sama saja.

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes