Senin, 25 Oktober 2010

Library IEE, STD, dan Work

1. Library IEE:

* use IEEE.std_logic_unsigned.all;
* use IEEE.math_real.all;
* use IEEE.math_complex.all;
* use IEEE.numeric_bit.all;
* use IEEE.numeric_std.all;
* use IEEE.std_logic_signed.all;
* use IEEE.std_logic_1164.all;
* use IEEE.std_logic_textio.all;
* use IEEE.std_logic_arith.all;

2. Library STD:

* use STD.standard.all;
* use STD.textio.all;

3. Library Work:
adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh:

* use WORK.data_types.all;

0 komentar:

Posting Komentar

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes