Senin, 25 Oktober 2010

Contoh Program D Flip-Flop Pada VHDL

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

Library IEE, STD, dan Work

1. Library IEE:

* use IEEE.std_logic_unsigned.all;
* use IEEE.math_real.all;
* use IEEE.math_complex.all;
* use IEEE.numeric_bit.all;
* use IEEE.numeric_std.all;
* use IEEE.std_logic_signed.all;
* use IEEE.std_logic_1164.all;
* use IEEE.std_logic_textio.all;
* use IEEE.std_logic_arith.all;

2. Library STD:

* use STD.standard.all;
* use STD.textio.all;

3. Library Work:
adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh:

* use WORK.data_types.all;

Minggu, 10 Oktober 2010

Kata baku dan tidak baku

Aksi action
Aki accu
Aktifitas aktivitas
Apotek apotik
Anda anda
Analisis Analisa
Andal Handal
Asas Azas
Aktif Aktip
Atmosfer atmosfir
Atlet Atlit
Azan Adzan
Antre Antri
Bus Bis
Cantik Cakep
Capai capek
Cabai cabe
Cenderamata cinderamata
Cendekiawan Cendikiawan
Defenisi Difinisi
Dalam Dalem
Debit debet
Diagnosis diagnosa
Durian Duren
Detail Detil
Embus Hembus
Ekuivalen ekwivalen
Ekstrem Ekstrim
Ekstremis Ekstrimis
Februari Pebruari
Fondasi Pondasi
Frekuensi frekwensi
Genius Jenius
Genting Genteng
Habis Abis
Hakikat Hakekat
Hieraki Hiraki
Hipotesis hipotesa
Ikhlas Ihlas
Ijazah Ijasah
Influenza Influenza
Izin Ijin
Isap Hisap
Imbau Himbau
Jadwal jadual
Jenazah Jenasah
Kaidah kaedah
Karier Karir
Kategori Katagori
Konduite kondite
Konkret kongkrit
Konseptual konsepsionil
Konferensi Konperensi
Kualifikasi Kwalifikasi
Kuantitatif Kwantitatif
Kuintansi Kwintansi
Kualitas Kwalitas
Masjid Mesjid
Mengubah Merubah
Merek Merk
Meterai Meterei
Misi Missi
Miliar Milyar
Mulia Mulya
Museum Museum
Mungkir Pungkir
Metode Metoda
Narasumber Nara sumber
Nasihat Nasehat
Objektif Obyektif
Objek Obyek
Paham Faham
Praktik Praktek
Pikir Fikir
Peduli Perduli
Provinsi Propinsi
Rezeki Rejeki
Risiko Resiko
Sabtu Saptu
Sekadar Sekedar
Silakan Silahkan
Sistem Sistim
Saksama Seksama
Sportif Sportip
Saraf syaraf
Subjek Subyek
Subjektif Subyektif
Tampan Ganteng
Teknik Tehnik
Teknologi Tehnologi
Trotoir Trotoar
Terampil Trampil
Telanjur Terlanjur
Telantar Terlantar
Uang Duit
Ubah Rubah
Utang Hutang
Varietas Varitas
Zaman Jaman

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes