Jumat, 31 Desember 2010

National Building

Tiiinnn…tiinnn..tiiinn wooyyy orang miskin lagi cari duit tiiinn… Begitulah suasana jalan ketika melewati sebuah pasar, panas, macet, angkot ngetem sana sini..yaah gimana lagi itulah negara kita, tidak tertib dan semerawut. Sebenarnya apa yang terjadi dengan Negara kita ?? apakah karena banyaknya penduduk di Indonesia ?? lihat China, jumlah penduduknya lebih banyak dibandingkan Indonesia, tapi kenapa China bias lebih tertib dibandingkan dengan Indonesia?? Mungkin Karena kesadaran rakyat Indonesia kurang, kenapa bias seperti itu ?? dulu presiden pertama kita Soekarno lebih banyak berkiblat ke RRC mungkin karena sama-sama memiliki jumlah penduduk yang banyak. Dahulu china menerapkan sistem national building...

Kamis, 30 Desember 2010

TIMNAS INDONESIA

INDONESIA prok..prok..prok…, tak ada henti-hentinya para supporter timnas kita meneriakan yel-yel demi mendukung timnas kita untuk juara piala AFF untuk yang pertama kalinya dimana Indonesia sudah mencapai babak final dan tinggal selangkah lagi bias merebut gelar juara..Begitulah harapan rakyat Indonesia, awalnya saya tak begitu yakin timnas dapat menampilkan permainan yang menurut saya aktraktif, tetapi pada waktu saya menonton partai pertama melawan Malaysia keyakinan itu muncul, bagaimana tidak timnas kita mencukur habis Malaysia dengan skor 5-1 !! sungguh awal yang sangat mengesankan, semenjak itu saya tidak pernah absen menyaksikan setiap laga Indonesia hingga akhirnya kita melaju ke babak semi...

Jumat, 24 Desember 2010

7'segment with VHDL

Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 buah LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f dan h (titik. Jenis-jenis 7'segment dibagi menjadi 2 yaitu: 1. Common Anoda Semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi aktif low(led akan menyala bila diberi logika 0). 2. Common Katoda semua katoda disatukan secara paralel dan dihubungkan ke GND. Karena seluruh katoda dihubungkan ke GND, maka common katoda ini berada pada kondisi aktif high(led akan menyala bila diberi logika...

Kamis, 25 November 2010

Pelesir….

“Uda sore gini tapi dosen belom dateng ,,,ahkhh..” si Joni pun akhirnya mengajak teman-temannya untuk pelesir ke mal deket kampus, “Ayoo jalan akh males gw ma dosen kya gini giliran gw niat kulia aja dosen gga masuk”, “iya jon rese banget ni dosen, cabut yuk” tambah si cumi yang juga mengajak teman-temannya yang lain. Akhirnya mereka berlima pun pergi ke mal terdekat.Sesampai mereka di mal tersebut Joni langsung ngoceh ngeliat cewe-cewe cakep berkeliaran di mal, “eh sul liat tuh cewe bodynya cocok tuh buat gw..” , “ah lo mah ngomong doang” tambah sul..Kemudian babe pun bilang “nah itu jon baru cocok buat lo…” , ,”mana be ?? sahut demul.., adul pun menoleh dan berkata “sialan lo !! masa badan gw uda gede gw cari yg gede juga” , waah ternyata badan tuh cewe bulet banget persis angka nol..Akhirnya...

Minggu, 14 November 2010

Perbandingan kata-kata media masa

Artikel dari POSKOTA :JAKARTA (Pos kota)- Lelaki tua tewas usai kencan dengan wanita jablay di Hotel Harapan Jaya, Senen, Jakarta Pusat, Sabtu malam pukul 22.50. Diduga, Abdul Halim, 62, tewas akibat mengkonsumsi obat kuat agar bisa kencan untuk kedua kalinya dengan Eky, 30.Menurut Kanis Reskrim Polsek Senen, AKP Asep Suparman, Sabtu (13/11) sekitar pukul 17.00, Abdul chek ini atau masuk hotel dengan wanita yang dikenal di Atrium Senen tersebut. Tak lama kemudian, setelah kencan, pukul 21.00, lelaki asal Tanjung Balai Karimun, Riau itu keluar bersama wanita jablay tersebut.“Diduga mereka membeli obat kuat agar bisa kencan lagi,”ujar Asep Suparman. Sebab tak lama kemudian mereka berdua kembali ke kamar hotel. Sekitar pukul 22.00, tiba-tiba Abdul...

Senin, 25 Oktober 2010

Contoh Program D Flip-Flop Pada VHDL

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:library ieee;use ieee.std_logic_1164.all;entity DFF_RST isport (CLK, RESET, D : in std_logic;Q : out std_logic);end DFF_RST;architecture BEHAV_DFF of DFF_RST isbeginDFF_PROCESS: process (CLK, RESET)beginif (RESET = ‘1’) thenQ <= ‘0’;elsif (CLK’event and CLK = ‘1’) thenQ <= D;end if;end process;end BEHAV_D...

Library IEE, STD, dan Work

1. Library IEE: * use IEEE.std_logic_unsigned.all; * use IEEE.math_real.all; * use IEEE.math_complex.all; * use IEEE.numeric_bit.all; * use IEEE.numeric_std.all; * use IEEE.std_logic_signed.all; * use IEEE.std_logic_1164.all; * use IEEE.std_logic_textio.all; * use IEEE.std_logic_arith.all;2. Library STD: * use STD.standard.all; * use STD.textio.all;3. Library Work:adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.Contoh: * use WORK.data_types.a...

Minggu, 10 Oktober 2010

Kata baku dan tidak baku

Aksi actionAki accuAktifitas aktivitasApotek apotikAnda andaAnalisis AnalisaAndal HandalAsas AzasAktif AktipAtmosfer atmosfirAtlet AtlitAzan AdzanAntre AntriBus BisCantik CakepCapai capekCabai cabeCenderamata cinderamataCendekiawan CendikiawanDefenisi DifinisiDalam DalemDebit debetDiagnosis diagnosaDurian DurenDetail DetilEmbus HembusEkuivalen ekwivalenEkstrem EkstrimEkstremis EkstrimisFebruari PebruariFondasi PondasiFrekuensi frekwensiGenius JeniusGenting GentengHabis...

Jumat, 17 September 2010

11 hal-hal yang bagus di pikirkan sebelum kita mengeluh.. Share

11 hal-hal yang bagus di pikirkan sebelum kita mengeluh.. Share1. Hari ini sebelum kamu mengatakan kata-kata yang tidak baik, pikirkan tentang seseorang yang tidakdapat berbicara sama sekali.2. Sebelum kamu mengeluh tentang rasa dari makananmu, pikirkan tentang seseorang yang tidakpunya apapun untuk dimakan.3. Sebelum anda mengeluh tidak punya apa-apa, pikirkan tentang seseorang yang meminta-minta dijalanan.4. Sebelum kamu mengeluh bahwa kamu buruk, pikirkan tentang seseorang yang berada padatingkat yang terburuk di dalam hidupnya.5. Sebelum kamu mengeluh tentang suami atau istrimu, pikirkan tentang seseorang yang memohonkepada Allah untuk diberikan teman hidup.6. Hari ini sebelum kamu mengeluh tentang hidupmu, pikirkan tentang seseorang yang meninggal terlalucepat.7. Sebelum kamu mengeluh...

Selasa, 27 Juli 2010

Yahudi… Pintar atau Penakut ??

Marilah kita mulai dengan persiapan awal melahirkan. Di Israel, setelah mengetahui sang ibu sedang mengandung, sang ibu akan sering menyanyi dan bermain piano. Si ibu dan bapak akan membeli buku matematika dan menyelesaikan soal bersama suami.Stephen sungguh heran karena temannya yang mengandung sering membawa buku matematika dan bertanya beberapa soal yang tak dapat diselesaikan. Kebetulan Stephen suka matematika..Stephen bertanya, "Apakah ini untuk anak kamu?"Dia menjawab, "Iya, ini untuk anak saya yang masih di kandungan, saya sedang melatih otaknya, semoga ia menjadi jenius."Hal ini membuat Stephen tertarik untuk mengikut terus perkembangannya.Kembali ke matematika tadi, tanpa merasa jenuh si calon ibu mengerjakan latihan matematika sampai...

Page 1 of 1412345Next

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes