Senin, 25 Oktober 2010

Contoh Program D Flip-Flop Pada VHDL

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:library ieee;use ieee.std_logic_1164.all;entity DFF_RST isport (CLK, RESET, D : in std_logic;Q : out std_logic);end DFF_RST;architecture BEHAV_DFF of DFF_RST isbeginDFF_PROCESS: process (CLK, RESET)beginif (RESET = ‘1’) thenQ <= ‘0’;elsif (CLK’event and CLK = ‘1’) thenQ <= D;end if;end process;end BEHAV_D...

Library IEE, STD, dan Work

1. Library IEE: * use IEEE.std_logic_unsigned.all; * use IEEE.math_real.all; * use IEEE.math_complex.all; * use IEEE.numeric_bit.all; * use IEEE.numeric_std.all; * use IEEE.std_logic_signed.all; * use IEEE.std_logic_1164.all; * use IEEE.std_logic_textio.all; * use IEEE.std_logic_arith.all;2. Library STD: * use STD.standard.all; * use STD.textio.all;3. Library Work:adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.Contoh: * use WORK.data_types.a...

Minggu, 10 Oktober 2010

Kata baku dan tidak baku

Aksi actionAki accuAktifitas aktivitasApotek apotikAnda andaAnalisis AnalisaAndal HandalAsas AzasAktif AktipAtmosfer atmosfirAtlet AtlitAzan AdzanAntre AntriBus BisCantik CakepCapai capekCabai cabeCenderamata cinderamataCendekiawan CendikiawanDefenisi DifinisiDalam DalemDebit debetDiagnosis diagnosaDurian DurenDetail DetilEmbus HembusEkuivalen ekwivalenEkstrem EkstrimEkstremis EkstrimisFebruari PebruariFondasi PondasiFrekuensi frekwensiGenius JeniusGenting GentengHabis...

Page 1 of 1412345Next

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes