Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:library ieee;use ieee.std_logic_1164.all;entity DFF_RST isport (CLK, RESET, D : in std_logic;Q : out std_logic);end DFF_RST;architecture BEHAV_DFF of DFF_RST isbeginDFF_PROCESS: process (CLK, RESET)beginif (RESET = ‘1’) thenQ <= ‘0’;elsif (CLK’event and CLK = ‘1’) thenQ <= D;end if;end process;end BEHAV_D...