Jumat, 31 Desember 2010

National Building


Tiiinnn…tiinnn..tiiinn wooyyy orang miskin lagi cari duit tiiinn… Begitulah suasana jalan ketika melewati sebuah pasar, panas, macet, angkot ngetem sana sini..yaah gimana lagi itulah negara kita, tidak tertib dan semerawut. Sebenarnya apa yang terjadi dengan Negara kita ?? apakah karena banyaknya penduduk di Indonesia ?? lihat China, jumlah penduduknya lebih banyak dibandingkan Indonesia, tapi kenapa China bias lebih tertib dibandingkan dengan Indonesia?? Mungkin Karena kesadaran rakyat Indonesia kurang, kenapa bias seperti itu ?? dulu presiden pertama kita Soekarno lebih banyak berkiblat ke RRC mungkin karena sama-sama memiliki jumlah penduduk yang banyak. Dahulu china menerapkan sistem national building yang diartikan dari rakyat untuk rakyat. Konsep itu juga yang ingin dipakai oleh bung Karno, maka lahirlah yang namanya Pancasila. Bung Karno mengemban misi yang sangat berat untuk membangun Indonesia dengan konsep seperti itu, tapi di saat bung Karno sedang membangun konsep tersebut, beliau di ganggu oleh isu-isu politik, orang-orang yang ingin menjatuhkan beliau, sehinga runtuhlah rezim Soekarno dimana misi beliau belum selesai, sementara pemerintahan orde barunya Soeharto sangat bersebrangan dengan misi Soekarno, misi Soeharto adalah membangun Indonesia dengan konsep moderanisasi, tetapi rakyat kita belum siap dengan konsep tersebut sehingga manjadi timpang dengan misi dari Soeharto, berbeda dengan China, di saat pemerintahannya dig anti, rakyat China sudah siap mental dengan konsep baru tersebut, kesadaran rakyatnya pun semakin baik.
 Itulah yang ingin dibangun oleh Soekarno menjadikan rakyat Indonesia siap mental untuk menghadapi masa depan sehingga Negara kita lebih baik dari sekarang.

Kamis, 30 Desember 2010

TIMNAS INDONESIA


INDONESIA prok..prok..prok…, tak ada henti-hentinya para supporter timnas kita meneriakan yel-yel demi mendukung timnas kita untuk juara piala AFF untuk yang pertama kalinya dimana Indonesia sudah mencapai babak final dan tinggal selangkah lagi bias merebut gelar juara..
Begitulah harapan rakyat Indonesia, awalnya saya tak begitu yakin timnas dapat menampilkan permainan yang menurut saya aktraktif, tetapi pada waktu saya menonton partai pertama melawan Malaysia keyakinan itu muncul, bagaimana tidak timnas kita mencukur habis Malaysia dengan skor 5-1 !! sungguh awal yang sangat mengesankan, semenjak itu saya tidak pernah absen menyaksikan setiap laga Indonesia hingga akhirnya kita melaju ke babak semi final dimana kita sudah di tunggu oleh Filipina yang notabennya dihuni pemain-pemain naturalisasi mulai dari Younghusband bersaudara hingga Etheridge(kiper ketiga klub Inggris : Fulham). Keraguan pun mulai menghampiri, tapi pasukan Indonesia yang di kapteni oleh Firman Utina tak gentar sedikitpun menghadapi perlawanan sengit Filipina. Indonesia pun akhirnya menang dengan agregat 2-0. Saya pun makin yakin bahwa timnas kita mampu menjadi juara sebab pada partai final kita menghadapi Malaysia yang pada pertemuan pertama kita libas dengan skor 5-1. Tapi apa daya, timnas kita kalah pada leg pertama dengan skor 3-0, walaupun pada leg kedua kita menang 2-1 tetapi itu belum cukup untuk menjadikan Indonesia Juara, ya itulah sepakbola dimana taktik, strategi dan keberuntungan selalu menjadi faktor-faktor tertentu.
Yaa tidak apa-apalah toh supporter kita tidak ada yang bertingkah anarkis. Ini adalah bukti bahwa supporter Indonesia sudah bersikap dewasa, sehingga Indonesia siap untuk menjadi juara 2 tahun mendatang di turnamen yang sama. Bravo INDONESIA…

Jumat, 24 Desember 2010

7'segment with VHDL




Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 buah LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f dan h (titik.
Jenis-jenis 7'segment dibagi menjadi 2 yaitu:


1. Common Anoda

Semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi aktif low(led akan menyala bila diberi logika 0).

2. Common Katoda

semua katoda disatukan secara paralel dan dihubungkan ke GND. Karena seluruh katoda dihubungkan ke GND, maka common katoda ini berada pada kondisi aktif high(led akan menyala bila diberi logika 1).

Contoh Program 7'segment pada FPGA:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity milanisti is
port (
clk : in std_logic;
bcd : in std_logic_vector(3 downto 0);
segment7 : out std_logic_vector(6 downto 0)
);
end milanisti;

architecture Behavioral of milanisti is

begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case bcd is
when "0000"=> segment7 <="0000001"; -- '0' when "0001"=> segment7 <="1001111"; -- '1' when "0010"=> segment7 <="0010010"; -- '2' when "0011"=> segment7 <="0000110"; -- '3' when "0100"=> segment7 <="1001100"; -- '4' when "0101"=> segment7 <="0100100"; -- '5' when "0110"=> segment7 <="0100000"; -- '6' when "0111"=> segment7 <="0001111"; -- '7' when "1000"=> segment7 <="0000000"; -- '8' when "1001"=> segment7 <="0000100"; -- '9' when others=> segment7 <="1111111"; -- 'mati semua karena aktif low'
end case;
end if;

end process;

end Behavioral;


logika program :output program adalah 7'segment, input di berikan melalui switch, contoh jika "0001" ditekan maka outputnya "1001111", 1001111 diibaratkan 7'segment yaitu a b c d e f g, karena ini aktif low maka yang menyala hanya segment b dan c saja yaitu angka 1.

 
Design by Wordpress Theme | Bloggerized by Free Blogger Templates | coupon codes